文章重点摘要:
  • 摩尔定律走到极限,Chiplet被行业普遍认为是集成电路制造的技术选择,也是我国突破半导体工艺被卡脖子的重要途径。
  • 什么类型的芯片适合走Chiplet的设计路线?
  • Chiplet芯片主要用于哪些市场应用?
  • Chiplet行业尚缺乏完整的EDA工具,目前业界还没有跨界芯片封装协同设计,能够设计、分析和优化一个完整的异构2.5D系统的CAD工具。
  • 单片三维集成电路或可成为延续摩尔定律的有效手段。
  • 对于大芯片封装设计来说,应该要关注哪些关键点呢?
  • 我国如何形成自己的Chiplet互联和集成标准?
近年来Chiplet发展火热,被业界广泛认为是“延续”摩尔定律的重要技术途径。由于中国大陆的半导体制造业在先进芯片方面有所落后,所以,利用新型封装技术集成和互连国内积累多年的处理器、存储器等Chiplet,达到对标或超过国外高端芯片算力目的,是突破半导体工艺被卡脖子的重要途径,对我国的集成电路产业具有重要意义。
2022年7月29-31日,由中国计算机学会(CCF)主办,CCF集成电路设计专委、容错计算专委、体系结构专委、信息存储技术专委和南京江北新区管理委员会联合承办的首届中国计算机学会芯片大会(CCF Chip Conference,简称:CCF Chip),在南京国际博览会议中心盛大启幕。其中在7月30日下午召开的《Chiplet与三维集成电路设计》分论坛上,本领域内的学术界和企业界的知名专家对Chiplet和三维集成电路中的科学问题和关键技术展开了激烈的讨论。
《Chiplet与三维集成电路设计》荣获CCF CHIP-2022最受欢迎论坛
关于Chiplet与三维集成的技术讨论
广东省科学院半导体所的胡川博士发表了《从硬件中心到软件中心大背景下的关键集成电路制造革新》的主题演讲。胡博士由集成电路起源开始谈起,从1942年第一台电子管计算机诞生到集成电路行业遵循摩尔定律发展到现在具有百亿晶体管的时代,摩尔定律已开始逐渐走到极限。一方面是经济极限,单个晶体管成本不再下降;另一方面是有两个物理极限,到2nm以下,郎道尔极限和量子隧穿极限几乎同时到达。当然还有很多技术原因,如Dennard Scaling,RC Delay等等。
广东省科学院半导体所胡川博士
(图源:2022 CCF芯片大会胡川博士主题演讲)
随着晶体管微缩终结,算力增长停滞。行业开始从硬件中心向软件(应用)为中心过渡,器件也向高频、高压、高功耗等多样性发展。而异构集成(Chiplet)因为可以把FinFET、SOI、无源器件、光电、传感器、CPU、存储等器件集成在一起,实现采用低代次工艺实现先进功能,因此被行业普遍认为是集成电路制造的技术选择。
Chiplet的实现主要架构有2D和3D两种,其中2D的主要手段是扇出封装,代表应用如移动时代的苹果A处理器和人工智能时代的Tesla Dojo处理器,技术层面的工作主要是减少线宽线距,降低管脚尺寸,提升管脚的连接精度。而3D的主流方案是硅桥方案,如台积电、英特尔和IBM等均是采用的硅桥方案。
不过业界还在探索一些未来的新技术,但这些技术仍面临一些挑战,如自组装、巨量转移等技术产业兼容和真实应用缺乏;自适应曝光和3D打印等技术虽然听起来好,但是在成本和材料兼容方案不够有效。还有一些材料方面的研究,如柔性材料和新器件,但在量产、可靠性和应用方面还面临一些困难。
华南理工大学微电子学院邹毅教授作了《面向多模数据融合的异构集成存算加速系统》的主题报告。邹教授根据近年来的工程项目经验,以雷视融合多模数据处理为实例从系统架构和算法应用等方面探讨了新型存算架构设计面临的机遇和挑战。
邹教授指出,异构集成通过先进封装技术将不同工艺节点、不同材质的芯片集成在一起,使面向不同模态数据的感存算集成成为可能。尤其适用如多模态传感器数据融合的中面临的不同制程工艺、采样率、算法选择、传感器物理特性差异等挑战。基于异构集成的单元模组,需要将不同制程和架构、不同指令集、不同功能的硬件进行组合,形成高效的异构计算存储架构,提高各种计算资源的并行和分布。
(图源:2022 CCF芯片大会邹毅教授主题演讲)
异构集成使在单个封装内构建复杂系统成为了可能,即多模态感知、存储、计算及通信一体化成为可能。但还需要开展相关的高性能低功耗的新型异构计算存储体系架构的研究。在这方面面临的挑战是,比如在异构集成方面,在功耗、成本与尺寸上需要考量材料的选择,而数字和模拟器件的异构集成上需要考量集成度的选择;在存算一体方面,需要考量新型存储介质、通用性等问题;另外软件生态也很重要。
清华大学交叉信息研究院特聘研究员、助理教授马恺声在《Chiplet Cost Model Analysis, Opportunities and Challenges for Off-the-shelf Chiplets》的主题报告中指出,半导体创新不仅仅是要把芯片性能做到极好,芯片的成本至关重要。一个很明显的例子是,最近英特尔已经放弃了3D-Xpoint业务,其主要原因就是3D堆叠对比DRAM的成本花销偏高。而Chiplet也面临着一些成本的问题,由于在封装和裸片接口方面的开销问题,Chiplet的成本优势不容易实现。他们提出了两大关于Chiplet的实际问题:一是什么样的芯片适合走Chiplet的设计路线?二是哪些市场在经济上适合用Chiplet?
清华大学交叉信息研究院特聘研究员、助理教授马恺声
基于他们自己做的Chiplet复用模型分析来看,对于问题一得出的答案是,首先,越接近摩尔定律极限如5nm、3nm和2nm的芯片越有意义;再就是想用一套Chiplets来搭配高中低档的产品,充分发挥Chiplet的复用能力;还有就是,当达到800平方毫米光刻机面积的时候是有Chiplet需求的;具体到芯片应用来说,CPU和GPU这种大芯片是适合的,对于大芯片来说,建议是超过200平方毫米,最好是超过400平方毫米的是适合做Chiplet的;如果仅从成本角度看,如MCU这样本身价格较低的芯片目前是没有必要的。
Chiplet复用方案模型
(图源:2022 CCF芯片大会马恺声教授主题演讲)
但是,要将Chiplets转化到实际应用中还有很大的鸿沟,要考虑封装方式的成本、单位带宽的裸片面积、每比特传输功耗、带宽的可扩展性、系统级的使用与集成复杂性,对不同工艺的支持等多个因素。那么Chiplet有哪些应用市场呢?马恺声教授分析道,主要有四大类市场:一是需要定制特种计算加速卡的市场,比如分子动力学、油气勘探、天气预测等;二是想做芯片的系统厂商,如做推荐系统、鉴黄、鉴暴、美颜特效等等;第三类是不确定量的新兴行业,如元宇宙、区块链、隐私计算和智能网卡;第四类是出货不多的零碎市场,如高端视频会议、工业视觉、机器人等等。
阿肯色大学彭亚锐就《Chiplet-Based Physical Design for Chip-Package Cross-Boundary Co-Optimization》主题做了阐述。他指出,近些年来,随着越来越多的异构芯片集成到先进的封装系统中,2.5D封装设计越来越受欢迎。封装和芯片之间的相互作用正在增加,封装层越来越接近和类似于芯片BEOL。但目前业界还没有跨界芯片封装协同设计CAD工具,能够设计、分析和优化一个完整的异构2.5D系统。传统的die-by-die设计flow虽然可以使用现成的芯片实现最短的2.5D系统设计时间,但是不能保证最大性能和最高可靠性,Pin主导的特性需要芯片和封装的特性。
封装和芯片之间的交互是很重要的,需要在分析和优化步骤中仔细考虑。针对同构和异构的2.5D设计,彭亚锐教授提出了一个完整的Chiplet封装和协同优化的Flow。该CAD模型可以将芯片和封装组合成一个单一的设计环境,并支持使用先进的多模封装技术(InFO、CoWoS、EMIB等)集成异构组件,解决芯片到封装的信号完整性和电热可靠性,还能为敏捷的2.5D芯片设计提供开源设计平台,该平台兼容标准的ASIC/Package设计工具,同时能通过该跨界CAD工具进行增强。
传统Flow和彭亚锐教授提出的完整Flow对比
(图源:2022 CCF芯片大会彭亚锐主题演讲)
通过彭亚锐教授的讲解,对于均匀的2.5D封装,采用该整体流,与参考2D设计的性能差距减少了62.5%(如图a所示)。针对异构系统,他们的设计流程在地面和耦合电容上实现了低于1%的提取误差,并具有相当的设计性能。
图a
图b
(图源:2022 CCF芯片大会彭亚锐主题演讲)
西安交通大学人工智能与机器人研究所任鹏举教授针对《面向自主智能体的高效计算架构设计思考》这一主题作了演讲报告。他表示,以智能驾驶、无人机、机器人为代表的自主智能体需要面对复杂、动态、开放、非完整观测环境下的一系列挑战,是体现智能计算适应性、可靠性、鲁棒性、稳定性和灵敏性的典型应用场景。
西安交通大学人工智能与机器人研究所任鹏举教授
对此,任鹏举课题组围绕自主智能体的计算架构设计,提出了传输中处理(PIT:Processing In-Transomission )的计算模式(图c所示),有效的提升了对于非规则数据的处理能力,其中缓存未命中率下降48%,分支预测错误率从20.3%减少到0.1%。基于该计算模式设计了通用高效的DNN处理器芯片HiPU200(图d所示),并实现了障碍物检测、交通灯检测、车道线检测等智能驾驶应用验证。值得一提的是,HiPU“无人驾驶强智能芯片”解决方案入选2021中国电子技术标准化研究院集成电路测评中心“AI芯”优秀应用案例。
图c
图d
传输中处理(PIT:Processing In-Transomission )的计算模式
(图源:2022 CCF芯片大会任鹏举教授主题演讲)
北京航空航天大学集成电路科学与工程学院副教授成元庆探讨了《单片三维集成电路物理设计的机遇与挑战》。他指出,随着工艺节点微缩到10nm以下工艺复杂度和芯片成本急剧上升,半导体工业进入了后摩尔时代。为了降低念片制造成本,提高器件集成度,单片三维集成电路的概念被提出来。而且单片三维集成电路或可成为延续摩尔定律的有效手段。
北京航空航天大学集成电路科学与工程学院副教授成元庆
单片三维集成电路的优势主要体现在:一,与基于硅通孔的三维集成电路相比,单片三维集成电路的垂直直连密度有1-2个数量级的提升。二,单片三维集成电路可与其它新兴半导体技术如CNFET/RRAM/MRAM进行无缝集成。不过单片三维集成还面临着一些挑战,主要是来自EDA工具的挑战:一方面,现在的设计主要依赖已有的2D工具,受限于已有EDA算法框架,无法充分发挥垂直互联的优势,尤其对于M3D技术,亟需要专业的物理设计工具进行逻辑层堆叠;再者,还要进行散热、供电、时钟、专门优化的P&R工具的开发,最后,单片三维集成还面临海量的MIV,测试和可测性设计的挑战。
单片三维集成电路的技术优势
(图源:2022 CCF芯片大会成元庆副教授主题演讲)
青芯半导体科技(上海)有限公司芯片封装及可靠性专家张哲明则就《封装对于芯片设计的影响》作了分析。张哲明指出,在实践中,我们发现很多芯片设性公司往往忽略了后端封装的可设计性与可制造性,从而导致尽管芯片设计完善,但难以封装生产的问题。因此,芯片设计者在设计初期就需要考虑封装设计与系统设计,只有理解封装设计与系统设计这两个环节的需求与痛点,才能设计出更符合需求的芯片。

青芯半导体科技(上海)有限公司芯片封装及可靠性专家张哲明
那么对于大芯片封装设计来说,应该要关注哪些关键点呢?一个需要考虑的问题是基板设计,现在采用Chiplet和3D封装的高端芯片普遍开始使用ABD类基板,其优点是能支持更小的bump pitch和更小的线宽线距,这也导致此类基板变成了稀缺资源,产生了新的供应链短缺问题。再就是散热仿真的问题,芯片在设计前期需要评估系统级别的散热状况,避免在芯片设计完成后出现局部热点,导致封装与系统的成本急剧升高。然后是封装生产的问题,由于封装材料的热膨胀系数差异较大,因此在所有加热的状态下,都会产生较大的形变与内应力,导致生产和使用过程中出现良率低和使用可靠性问题。
(图源:2022 CCF芯片大会张哲明主题演讲)
先进封装和智能计算架构的现状与未来
在会议的最后,关于先进封装和智能计算架构的现状和未来发展,各个专家又各抒己见,为Chiplet的发展给出了中肯的新思路。
问题一:探讨Chiplet相关EDA工具未来发展方向。
胡川:国内现在很多EDA企业需要我们在场景上的支持。
张哲明:需要更多的企业来用点工具,才能促进国产EDA的发展。
许达文:作为客户方来说,需要更多的应用场景给到EDA约束,才能让EDA工具考虑的更加周全。
马恺声:EDA的终局应该是一个聪明的工具,即当我想设计一套系统的时候,所有IP埋进去之后,能够自动的进行合理分配,包括布局、布线,采用何种堆叠方式等等。所有的系统给出最佳的设计方法,这是我们最需要的。
任鹏举:我们最近在用人工智能的方法来拓展做设计空间探索,而且效果很好,我们也看好未来通过人工智能和专家结合来反哺EDA工具的发展。
成元庆:Chiplet中存在有源和无源的联动,如果硅基板中包括有源器件的时候,它要不要参与到芯片的设计中来,有源器件如何跟硅基板芯片做一些协同优化。在国内EDA工具方面,国内有不少商业公司已经相对成熟,但是却不一定是解决问题的最优解,尤其是对Chiplet和3D。这也是鸡生蛋,蛋生鸡的问题。首先我们只有有了学术界的工具,能够处理2D工具处理不了的问题,给相关的设计企业看到采用这种工具的好处,才能激发产业兴趣或者有意愿去使用,最后再反过来推动EDA工具的发展。
邹毅:如果以不同类型的模拟和传感混在一起的话相关的工具比较少,建议相关企业可以以此为突破口,做一些不同类型器件混合的评估工具
问题二:高效、科研组织和企业如何做到产学研融合,推动Chiplet发展落地?
胡川:一方面不能指望大学来带动产业方向,但是另一方面,所有重要的技术都是从大学出来,如High-K、FinFET等等。我们当初作为公司支持机构的时候,第一要务就是培养人才,所有的技术都不可靠,在技术转化到应用的过程中产学研很重要。
张哲明:作为企业,我们的感受是,去年整个半导体公司投资高涨,所有的毕业生对薪资期望非常高,专业对口的学生很难找。我们是一家走的相对稳重的企业,专心研究产品,不是靠融资来维持公司运营下去,所以跟融资的企业相比就会相对没有竞争优势。但这个潮水终将褪去。
邹毅:国内的高效很看重paper,从人才培养对Chiplet领域来看,在本科教育阶段可以进行一些开课、讲座,研究生和博士方面就要考虑是否是工程实践的,可能会有所挑战。
问题三:我国如何形成自己的Chiplet互联和集成标准?
胡川:没有标准也许是最好的标准。对于英伟达和苹果这样的大体量玩家来说,他们不需要标准,标准了之后就没有差异化。但对于国内来说,还是需要有大公司来牵头做相关的标准工作。
马恺声:旧金山有一句名言:“金矿边的卖水人”。无论这些人有没有挖到矿,卖水人都是盈利的。我的理解是,英特尔在干一件类似的事情,不管这些做DPU、GPU、CPU企业将来能不能赚到钱,只要我把产能填满就能赚到钱。
任鹏举:目前Chiplet标准属于是从团标开始发展起来的过程,未来会如何发展时间会给出答案。很多标准是自然的竞争或大家的妥协而形成的产物,如果特别着急的去做标准可能反而做不到。
成元庆:关于Chiplet标准可能需要一些时间和市场竞争的检验,在不同的行业的要求不一样,最终行业的整体标准可能会依托于各细分行业内部所形成的标准。
邹毅:对于一个纯粹的企业来说,需要跟对一个leader,不然前期的研发没有过一些既有的这些标准,那么押注就押错了。关于国产Chiplet的标准制定,是否需要国家来推动是一个方面,我更希望市场上能有一个大公司通过自己的产品长期来推行。
*免责声明:本文由作者原创。文章内容系作者个人观点,半导体行业观察转载仅为了传达一种不同的观点,不代表半导体行业观察对该观点赞同或支持,如果有任何异议,欢迎联系半导体行业观察。
今天是《半导体行业观察》为您分享的第3122内容,欢迎关注。
推荐阅读
长江存储发布第四代闪存,200+时代已来临
半导体行业观察
半导体第一垂直媒体
实时 专业 原创 深度

识别二维码,回复下方关键词,阅读更多
晶圆|集成电路|设备|汽车芯片|存储|台积电|AI|封装
回复 投稿,看《如何成为“半导体行业观察”的一员 》
回复 搜索,还能轻松找到其他你感兴趣的文章!
继续阅读
阅读原文