英特尔甩出两大突破性技术,要开启半导体的埃米时代。
作者 |  心缘
编辑 |  漠影
芯东西7月27日报道,刚刚,英特尔公布了公司有史以来最详细的制程工艺和封装技术路线图!
除了公布其近十多年来首个全新晶体管架构RibbonFET和业界首个全新的背面电能传输网络PowerVia之外,英特尔还重点介绍了迅速采用下一代极紫外光刻(EUV)技术的计划,即高数值孔径(High-NA)EUV。
据悉,英特尔有望率先获得业界第一台High-NA EUV光刻机。此外,AWS成为第一个使用英特尔代工服务(IFS)封装解决方案的客户,高通也将采用Intel 20A制程工艺技术。
英特尔公司CEO帕特·基辛格说:“我们正在加快制程工艺创新的路线图,以确保到2025年制程性能再度领先业界。”
▲英特尔CEO帕特·基辛格发表演讲
01.
介绍新制程节点命名体系,
宣布代工服务启动
业界早就意识到,从1997年开始,基于纳米的传统制程节点命名方法,不再与晶体管实际的栅极长度相对应。
此前整个行业使用着各不相同的制程节点命名和编号方案,这些方案无法全面展现该如何实现能效和性能的最佳平衡。
对此,英特尔今天宣布为其制程节点引入了全新的命名体系,创建了一个清晰、一致的框架,来帮助客户对整个行业的制程节点演进建立一个更准确的认知。
“对于未来十年走向超越1nm节点的创新,英特尔有着一条清晰的路径。”基辛格谈道,“英特尔的最新命名体系,是基于我们客户看重的关键技术参数而提出的,即性能、功率和面积。”
英特尔去年推出的10nm SuperFin节点,实现了英特尔有史以来最为强大的单节点内性能增强,现在已经开始大批量生产。这一命名不会更改。
从英特尔下一个节点(之前被称作Enhanced SuperFin)Intel 7开始,英特尔后续节点将被命名为Intel 4、Intel 3和Intel 20A。最后这个命名反映了摩尔定律仍在持续生效。
英特尔技术专家详述了以下路线图,其中包含新的节点命名和实现每个制程节点的创新技术:
1、基于FinFET晶体管优化,Intel 7与英特尔10nm SuperFin相比,每瓦性能将提升约10%-15%。明年推出的Alder Lake客户端产品将采用Intel 7工艺,随后是面向数据中心的Sapphire Rapids预计将于2022年第一季度投产。Ponte Vecchio GPU也将采用Intel 7工艺,于2022年初上市,其中集成了基片(base tiles)和Rambo缓存晶片(Rambo cache tiles)。
2、Intel 4完全采用EUV光刻技术,可使用超短波长的光,刻印极微小的图样,每瓦性能约提升20%。Intel 4将在2022年下半年投产,并于2023年出货,产品包括面向客户端的Meteor  Lake和面向数据中心的Granite Rapids。
上个季度Meteor Lake客户端计算晶片的tape in,是一个重要的里程碑,Intel 4也是英特尔首个完全采用EUV技术的制程节点。
▲Intel 4节点Meteor Lake测试晶圆片
3、Intel 3较Intel 4将在每瓦性能上提升约18%,在芯片面积上有额外改进,得益于FinFET的优化和在更多工序中增加对EUV使用。Intel 3将于2023年下半年开始用于相关产品生产。
4、Intel 20A将凭借RibbonFET和PowerVia两大突破性技术开启埃米时代。Intel 20A预计将在2024年推出。英特尔在Intel 20A制程工艺技术上与高通公司进行合作。
两大创新技术中,PowerVia是英特尔独有的、业界首个背面电能传输网络,通过消除晶圆正面供电布线需求来优化信号传输。
RibbonFET是英特尔对Gate All Around晶体管的实现,将成为公司自2011年率先推出FinFET以来的首个全新晶体管架构。该技术加快了晶体管开关速度,同时实现与多鳍结构相同的驱动电流,但占用的空间更小。
5、面向2025年及更远的未来:从Intel 20A更进一步的英特尔18A节点也已在研发中,将于2025年初推出,它将对RibbonFET进行改进,在晶体管性能上实现又一次重大飞跃。
在制程工艺基础性创新方面,英特尔拥有悠久的历史。据英特尔高级副总裁兼技术开发总经理AnnKelleher博士回顾,英特尔引领了从90nm应变硅向45nm高K金属栅极的过渡,并在22nm时率先引入FinFET。”
他希望凭借RibbonFET和PowerVia两大开创性技术,Intel 20A将成为制程技术的另一个分水岭。
“今天公布的创新技术不仅有助于英特尔规划产品路线图,对我们的代工服务客户也至关重要。”基辛格说,“业界对英特尔代工服务(IFS)有强烈的兴趣,今天我很高兴我们宣布了首次合作的两位重要客户。英特尔代工服务已扬帆起航!”
02.
有望获得业界第一台High-NA EUV光刻机
英特尔还致力于定义、构建和部署下一代高数值孔径EUV(High-NA EUV),有望率先获得业界第一台High-NA EUV光刻机,并计划在2025年成为首家在生产中实际采用High-NA  EUV的芯片制造商。
当前英特尔正与ASML密切合作,确保这一行业突破性技术取得成功,超越当前一代EUV。
此外,英特尔子公司IMS是EUV多波束掩模刻写仪的全球主要供应商。这是制作高分辨率掩模的必备工具,而掩模则是实现EUV光刻技术的关键部分。采用掩模刻写技术对英特尔来说极具竞争优势,也是同业的关键推动力。
从Intel 4节点起,英特尔将全面应用EUV光刻技术生产相关产品,Intel 3会在更多工序中添加EUV的使用,来驱动比标准全节点改进水平更高的提升。
03.
亚马逊AWS率先使用英特尔代工服务(IFS)封装解决方案
随着英特尔全新IDM2.0战略的实施,封装对于实现摩尔定律变得更加重要。
英特尔宣布,AWS将成为首个使用英特尔代工服务(IFS)封装解决方案的客户。
▲英特尔高级副总裁兼技术开发总经理Ann Kelleher博士
英特尔对领先行业的先进封装路线图提出:
1、EMIB作为首个2.5D嵌入式桥接解决方案将继续引领行业,英特尔自2017年以来一直在出货EMIB产品。Sapphire Rapids将成为采用EMIB(嵌入式多芯片互连桥接)批量出货的首个至强数据中心产品,也将是业界首个提供几乎与单片设计相同性能的,但整合了两个光罩尺寸的器件。继Sapphire Rapids之后,下一代EMIB的凸点间距将从55μm缩短至45μm。
2、Foveros利用晶圆级封装能力,提供史上首个3D堆叠解决方案。Meteor Lake是在客户端产品中实现Foveros技术的第二代部署。该产品具有36微米的凸点间距,不同晶片可基于多个制程节点,热设计功率范围为5-125W。
3、Foveros Omni开创了下一代Foveros技术,通过高性能3D堆叠技术为裸片到裸片的互连和模块化设计提供了无限制的灵活性。Foveros Omni允许裸片分解,将基于不同晶圆制程节点的多个顶片与多个基片混合搭配,预计将于2023年用到量产的产品中。
4、Foveros Direct实现了向直接铜对铜键合的转变,它可以实现低电阻互连,并使得从晶圆制成到封装开始,两者之间的界限不再那么截然。Foveros Direct实现了10微米以下的凸点间距,使3D堆叠的互连密度提高了一个数量级,为功能性裸片分区提出了新的概念,这在以前是无法实现的。Foveros Direct是对Foveros Omni的补充,预计也将于2023年用到量产的产品中。
为了继续保持在先进封装领域的领导地位,英特尔正着眼于2023年交付Foveros Omni和Foveros Direct之外的其他未来规划,将在未来几代技术中从电子封装过渡到集成硅光子学的光学封装。
英特尔将继续与包括Leti、IMEC和IBM在内的产业伙伴密切合作,在以上和其他诸多创新领域进一步发展制程和封装技术。
04.
结语:今年年底前宣布其在
欧美的新工厂布局
基辛格特别提到上述创新技术都是在美国本土生产的,主要在英特尔俄勒冈州和亚利桑那州的工厂开发,这巩固了英特尔作为美国唯一一家同时拥有芯片研发和制造能力的领先企业的地位。
他透露说,英特尔预计在今年年底前宣布其在欧洲和美国进一步的工厂布局,这将是一笔足以支持大型晶圆厂的巨额投资,以此帮助世界实现更为平衡、可持续及安全的供应链。
同时,英特尔欢迎美国和欧盟的政策制定者能够以紧迫感采取行动,加快我们和集成电路产业其他公司的项目进展。他们很高兴看到最近宣布的支持美国半导体制造和研发的CHIPS法案以及欧盟正在采取类似举措。
随着英特尔代工服务(IFS)的推出,让客户清晰了解情况比以往任何时候都显得更加重要。
在2021年10月27日至28日举行的“英特尔Innovation”峰会上,英特尔将公布更多相关细节。
资料推荐

继续阅读
阅读原文